Merck
CN
HomePolymer SynthesisHexafluoroalcohol-functionalized Methacrylate Monomers for Lithographic/nanopatterning materials

Hexafluoroalcohol-functionalized Methacrylate Monomers for Lithographic/nanopatterning materials

Daniel P. Sanders, Ratnam Sooriyakumaran, Richard A. DiPietro

BM Almaden Research Center, San Jose, CA

Material Matters Volume 6 Article 1

Introduction

To keep pace with Moore′s Law, there is a continuing need in the semiconductor industry to achieve higher circuit density in microelectronic devices. The principle means of defining high resolution circuitry found in today′s semiconductor devices is the patterning of radiation-sensitive polymeric films using the lithographic process shown in Figure 1.1,2

The lithographic process

Figure 1.The lithographic process can be used to generate patterns using a positive or negative tone resist.1

In the first step, a layer of photosensitive material (a photoresist) is spinapplied to a desired film thickness on a silicon wafer. The film is exposed through a mask and a series of lenses with an appropriate wavelength of ultraviolet radiation. The photoresist formulation generally comprises a polymer that incorporates a “solubility switch”, a functionality that enables the solubility characteristics (e.g., the dissolution rate) of the polymer film to be changed via photochemical means.3-5 Modern chemically amplified photoresists are formulated with a photoacid generator (PAG) which, upon exposure to high energy radiation, decomposes to produce a strong acid. This acid catalyzes chemical reactions, such as the cleavage of acid-labile protecting groups on the photoresist polymer or the formation of chemical crosslinks between photoresist polymer chains, which alter the dissolution properties of the photoresist.3-5 Selectively removing (developing) the exposed material (a positive-tone resist) or the unexposed material (a negative-tone resist) using a developing solvent results in the transformation of the diffuse latent image into a rectified photoresist pattern. Finally, the photoresist pattern can be subsequently transferred into the underlying substrate by a reactive ion etch process, for example.

Important Properties of Lithographic Patterning Materials

In optical lithography, the ultimate achievable resolution is a function of the wavelength of the incident radiation according to the Rayleigh equation:

Rayleigh equation

where R is the resolution (e.g., minimum critical dimension), λ is the wavelength of the incident radiation, NA is the numerical aperture of the lens system, and k1 is a process-dependent factor typically between 0.5 and 0.25.1,2 In order to achieve smaller feature sizes, industry has traditionally moved to shorter wavelengths of light, often requiring redesign of photoresists to accommodate the new radiation wavelength.1-5 In particular, the non-radiation-sensitive components of the formulation must be relatively transparent to avoid non-productive attenuation of the incident radiation. For example, photoresist polymers based on 4-hydroxystyrene (polyhydroxystyrene – PHS) and its copolymers are widely used with 248 nm radiation due to their advantageous optical, dissolution, and etch properties; however, these aromatic polymers absorb heavily at 193 nm. Subsequently, new photoresists based on acrylate, methacrylate, or cyclic olefin polymers were developed which are transparent at 193 nm. While a comprehensive discussion of chemically-amplified photoresist materials can be found elsewhere,3-5 one important parameter to be considered in the design of new photoresist materials is the dissolution behavior of the photoresist. The aforementioned hydroxystyrene-based materials used in 248 nm imaging tend to dissolve very uniformly in industry standard 0.26N aqueous tetramethylammonium hydroxide (TMAH) developer without swelling or excess thinning in the unexposed regions (dark loss). In contrast, the aforementioned 193 nm photoresist polymer platforms which use carboxylic acid groups as solubilizing functionalities often show nonlinear dissolution and often exhibit significant swelling during the initial stages of development. This has made the development of photoresists based on these materials quite challenging, particularly for negative-tone formulations.

Beneficial Properties of HFA Methacrylate Polymers

Alternatively, photoresist materials featuring highly fluorinated alcohols as a solubilizing functionality in place of a phenolic group have been developed.3,6 In particular, the conjugate bases of hexafluoroalcohols (HFAs) (such as 1,1,1,3,3,3-hexafluoro-2-propanol are inductively stabilized by the heavily electron-withdrawing trifluoromethyl groups such that the alcohols display pKa values similar to that of the aforementioned phenolic materials (pKa ~11).7

HFA-functionalized polymers have been designed for use in 248 nm, 193 nm, and 157 nm lithography; however, lithographic materials based on HFA-functionalized methacrylate monomers (Figure 2) have been advantageously employed in 193 nm dry and immersion lithography due to their linear dissolution behavior with little swelling.3,6,8-10

HFA-functional methacrylate monomers designed for use in 193 nm lithography.

Figure 2.HFA-functional methacrylate monomers designed for use in 193 nm lithography.

This is in contrast to the dissolution behavior of lithographic materials based solely on carboxylic acids. For example, in Figure 3 the methacrylic acid copolymer shows significant swelling during the initial stages of development [evidenced by the increase in thickness and shift in resistance as measured by a quartz crystal microbalance (QCM)] during development, while the HFA-based poly(1) shows linear dissolution.

Dissolution behavior as determined by QCM of a methacrylic acid copolymer

Figure 3.Dissolution behavior as determined by QCM of a methacrylic acid copolymer

Top: poly(methyl methacrylate-co-methacrylic acid) 4:1 (Prod. No. 376914).
Bottom: HFA methacrylate polymer, made using monomer (1).

Properties of Representative HFA Methacrylate Homopolymers

A series of homopolymers were prepared using AIBN-initiated freeradical polymerization (Prod. No. 441090) of the HFA methacrylates shown in Figure 2 and their properties are listed in Table 1. Since low molecular-weight polymers exhibit high dissolution rates, low molecular-weight (<10 kDa) polymers were produced using 1-dodecanethiol (Aldrich Prod. No. 471364) as a chain transfer agent (CTA). In the absence of CTAs, higher molecular weights are readily achievable. The properties of HFA methacrylate polymers can be tuned by modifying their molecular weights, changing the structure of the linking group, or by copolymerization with other comonomers.11,12 In particular, HFA methacrylates with polycyclic groups (such as 4 and 5) can be used as comonomers in photoresist polymers where the polycyclic groups serve to increase oxygen reactive ion etch resistance.6,8-10 By contrast, monomer 1 with its short, branched linking group has found to be particularly useful in immersion lithography applications where high water contact angles and TMAH dissolution rates are more important.12-14

Table 1. HFA methacrylate homopolymers and their properties as they relate to UV lithography.

aPrepared from Monomers 1-5 in Figure 2, respectively, using AIBN-initiated free radical polymerization.
bMeasured using a tilting table contact angle goniometer.

Applications of HFA Methacrylates in Lithographic/Nanopatterning Materials

193 nm Photoresist Materials

Figure 4 shows the dissolution behavior (as measured using a quartz crystal microbalance) of a 248 nm photoresist and a 193 nm photoresist as a function of exposure dose using a 254 nm Hg/Xe lamp. In comparison with the hydroxystyrene-based 248 nm photoresist, the partially deprotected 193 nm photoresist produced at intermediate dosages displays significant swelling in TMAH developer. With respect to imaging performance, such swelling can lead to enhanced line edge roughness (LER), line width roughness (LWR), and decreased process latitude. In contrast, a photoresist incorporating monomer 4 (Figure 4, HFA resist) displays similar dissolution behavior as the polyhydroxystyrene- based 248 nm photoresist.8,9

dissolution behavior of a 248 nm photoresist and a 193 nm photoresist

Figure 4.Dissolution behavior of a Top: 248 nm photoresist, Middle: 193 nm photoresist and Bottom: HFA-containing 193 nm photoresist based on poly(t-butyl methacrylate-co-4).

Alkali-soluble Topcoats for 193 nm Water Immersion Lithography

In place of 157 nm lithography, the semiconductor industry has turned to immersion lithography to extend the capabilities of 193 nm lithography.15 Immersion lithography involves placing an immersion fluid with a refractive index greater than air between the final lens element of the exposure system and the photoresist. The use of an immersion fluid enables the development of imaging systems with numerical apertures greater than 1 (so-called hyper-NA imaging systems) and, for any given NA, increases the available depth of focus and thereby improves process latitude.15 At 193 nm, water is the ideal immersion fluid due to its high transparency, ready availability in labs with high purity at low cost, and good thermal, viscosity, and surface tension properties. Since immersion lithography changes only the effective wavelength (λo/n) and not the vacuum wavelength (λo) of the incident radiation, the large body of existing 193 nm technology (source lasers, optical materials, photoresist and anti-reflective materials) can be reused.

The introduction of immersion lithography has required the development of improved photoresist materials to accommodate direct contact with the immersion fluid.16,17 As shown in Figure 5, immersion fluids can have adverse effects on the photoresist by extracting key photoresist components such as photoacid generators thereby degrading imaging performance and potentially contaminating the exposure tool.16-18 To overcome these problems, protective polymeric topcoats may be employed to reduce extraction of photoresist components into the immersion fluid and, thereby, protect the immersion scanner and retain photoresist patterning performance.16 Topcoat materials are designed to exhibit high receding contact angles with water, in order to enable rapid scanning of the wafer without film pulling (i.e., leaving a trail of film or droplets behind the receding meniscus of the immersion fluid).19 Since these residual water droplets induce defects in the final lithographically printed features, the receding contact angle of the immersion fluid with the topcoat effectively determines the maximum wafer scan rate and tool throughput.16-17,19

A graphical representation of key material interactions in immersion lithography.

Figure 5.A graphical representation of key material interactions in immersion lithography.

HFA methacrylate polymers are particularly advantageous for use in topcoat applications due to their unique combination of high, receding water contact angles and moderate TMAH dissolution rates (as compared to polymers based on alternative alkali-soluble groups such as carboxylic acid).12-14,16,20 In addition, HFA methacrylate polymers have high solubility in alcoholic casting solvents and therefore can be spuncast on top of photoresists with minimal interdiffusion.

Polymer 6 (Figure 6), in particular, exhibits an ideal balance of contact angle and dissolution rate performance from which to begin designing a topcoat material.12,16 Copolymerization with fluoroalkyl methacrylates such as 1,1,1,3,3,3-hexafluoroprop-2-yl methacrylate can be used to increase contact angles at the expense of dissolution rate (polymer 7, Figure 6). Alternatively, comonomers containing strongly acidic groups can be used (for example, 2-acrylamido-2- methyl-1-propanesulfonic acid in polymer 8, Figure 6) to tune the topcoat-photoresist interactions and improve pattern profiles (e.g., reduce t-topping) albeit at the expense of water contact angles.14

Topcoat polymers for 193 nm immersion lithography.

Figure 6.Example topcoat polymers for 193 nm immersion lithography.

Surface-active Polymer Additives for 193 nm Water Immersion Lithography

While protective topcoats are often used in water immersion lithography, a topcoat-based lithographic process requires additional process steps and material cost as compared to conventional dry lithography. Alternatively, topcoat-free photoresists have been developed for immersion lithography in which small quantities of surface-active fluoropolymer additives segregate to the photoresist surface during film formation to minimize photoacid generator leaching and control immersion fluid-photoresist interactions.16 These topcoatfree photoresists are intended to enable the high throughput and low defectivity characteristic of topcoat-based immersion lithography processes without the extra materials and process costs.

A wide variety of surface-active fluoropolymer additives have been developed for topcoat-free immersion photoresists.16 In general, most additives fall into one of two categories: developer soluble (topcoat type) and switchable (resist type). Developer soluble additives require many of the same material properties that have made HFA methacrylates useful for immersion topcoats, including high water contact angles and moderate dissolution rates in aqueous base developer. Switchable additives are essentially fluorinated photoresists themselves that have been optimized for their surface properties. While many fluorinated surface-active resins can impart good water contact angles during patterning, HFA methacrylate materials undergo a pHinduced transition from hydrophobic to hydrophilic in the presence of an alkaline developer thereby ensuring good developer wetting during development.12,16 For example, an unexposed topcoat-free photoresist formulated with the simple fluorinated polymer additive 9 exhibits high receding contact angles with both water and aqueous TMAH developer, whereas an analogous topcoat-free photoresist formulated with the HFA-based additive polymer 10 displays a much reduced contact angle with TMAH developer (Figure 7).

Static, receding contact angles of water and TMAH developer on topcoat-free photoresists formulated with the polymeric additives shown.

Figure 7.Static, receding contact angles of water and TMAH developer on topcoat-free photoresists formulated with the polymeric additives shown.

Impact

Leading-edge, positive-tone photoresists based on HFA methacrylates have had a major impact within IBM for the commercial manufacturing of several generations of chips produced using 193 nm dry lithography. This chemistry has also played an enabling role in the rapid introduction of immersion lithography into high volume manufacturing through their incorporation into immersion topcoats and topcoat-free photoresists used throughout the industry. The flexibility inherent in the HFA-MA monomers allows the materials designer great freedom in the precise tuning of polymer properties and performance in microelectronics as well as applications outside the semiconductor industry.

Materials
Loading

References

1.
Wallraff GM, Hinsberg WD. 1999. Lithographic Imaging Techniques for the Formation of Nanoscopic Features. Chem. Rev.. 99(7):1801-1822. https://doi.org/10.1021/cr980003i
2.
Mack C. 2007. Fundamental Principles of Optical Lithography. https://doi.org/10.1002/9780470723876
3.
Ito H. Chemical Amplification Resists for Microlithography.37-245. https://doi.org/10.1007/b97574
4.
MacDonald SA, Willson CG, Frechet JMJ. 1994. Chemical Amplification in High-Resolution Imaging Systems. Acc. Chem. Res.. 27(6):151-158. https://doi.org/10.1021/ar00042a001
5.
Reichmanis E, Houlihan FM, Nalamasu O, Neenan TX. 1991. Chemical amplification mechanisms for microlithography. Chem. Mater.. 3(3):394-407. https://doi.org/10.1021/cm00015a009
6.
Ito H, Truong HD, Allen RD, Li W, Varanasi PR, Chen K, Khojasteh M, Huang W, Burns SD, Pfeiffer D. 2006. ArF excimer laser resists based on fluoroalcohol. Polym. Adv. Technol.. 17(2):104-115. https://doi.org/10.1002/pat.672
7.
Gandler JR, Jencks WP. 1982. General base catalysis, structure-reactivity interactions, and merging of mechanisms for elimination reactions of (2-arylethyl)quinuclidinium ions. J. Am. Chem. Soc.. 104(7):1937-1951. https://doi.org/10.1021/ja00371a024
8.
Varanasi PR, Kwong RW, Khojasteh M, Patel K, Chen KJ, Li W, Lawson MC, Allen RD, Sooriyakumaran R, Brock P, et al. 2005. Fluoroalcohol-Methacrylate Resists for 193nm Lithography. J. Photopol. Sci. Technol.. 18(3):381-387. https://doi.org/10.2494/photopolymer.18.381
9.
Varanasi PR, Kwong RW, Khojasteh M, Patel K, Chen K, Li W, Lawson MC, Allen RD, Sooriyakumaran R, Brock P, et al. 2005. 193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers. https://doi.org/10.1117/12.599700
10.
Patel KS, Lawson MC, Varanasi PR, Medeiros DR, Wallraff GM, Brock PJ, DiPietro RA, Nishimura Y, Chiba T, Slezak M. 2004. IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance. https://doi.org/10.1117/12.536874
11.
Allen AD, Breyta G, Brock P, DiPietro R, Sanders D, Sooriyakumaran R, Sundberg LK. 2006. Fundamental Properties of Fluoroalcohol-Methacrylate Polymers for use in 193nm Lithography. J. Photopol. Sci. Technol.. 19(5):569-572. https://doi.org/10.2494/photopolymer.19.569
12.
Sanders DP, Sundberg LK, Sooriyakumaran R, Brock PJ, DiPietro RA, Truong HD, Miller DC, Lawson MC, Allen RD. 2007. Fluoro-alcohol materials with tailored interfacial properties for immersion lithography. https://doi.org/10.1117/12.712768
13.
Allen RD, Brock PJ, Sundberg L, Larson CE, Wallraff GM, Hinsberg WD, Meute J, Shimokawa T, Chiba T, Slezak M. 2005. Design of Protective Topcoats for Immersion Lithography. J. Photopol. Sci. Technol.. 18(5):615-619. https://doi.org/10.2494/photopolymer.18.615
14.
Khojasteh M, Popova I, Varanasi PR, Sundberg L, Robinson C, Corliss D, Lawson M, Dabbagh G, Slezak M, Colburn M, et al. 2007. Building an immersion topcoat from the ground up: materials perspective. https://doi.org/10.1117/12.712095
15.
Lin BJ. 2004. Immersion lithography and its impact on semiconductor manufacturing. J. Micro/Nanolith. MEMS MOEMS. 3(3):377. https://doi.org/10.1117/1.1756917
16.
Sanders DP. 2010. Advances in Patterning Materials for 193 nm Immersion Lithography. Chem. Rev.. 110(1):321-360. https://doi.org/10.1021/cr900244n
17.
Wei Y, Brainard RL. 2009. Advanced Processes for 193-nm Immersion Lithography. https://doi.org/10.1117/3.820233
18.
Wallraff GM, Larson CE, Breyta G, Sundberg L, Miller D, Gil D, Petrillo K, Pierson W. 2006. The effect of photoresist/topcoat properties on defect formation in immersion lithography. https://doi.org/10.1117/12.657179
19.
Schuetter SD. 2007. Prediction of the velocity at which liquid separates from a moving contact line. J. Micro/Nanolith. MEMS MOEMS. 6(2):023003. https://doi.org/10.1117/1.2727490
20.
Sundberg LK, Sanders DP, Sooriyakumaran R, Brock PJ, Allen RD. 2007. Contact angles and structure/surface property relationships of immersion materials. https://doi.org/10.1117/12.712632
登录以继续。

如要继续阅读,请登录或创建帐户。

暂无帐户?