Merck
CN
HomeChemical Vapor DepositionSilicon Nitride Atomic Layer Deposition: A Brief Review of Precursor Chemistry

Silicon Nitride Atomic Layer Deposition: A Brief Review of Precursor Chemistry

Antonio T. Lucero, Jiyoung Kim*

Department of Materials Science and Engineering, The University of Texas at Dallas, Richardson, TX 75080, USA

Material Matters, 2018, 13.2

Introduction

Silicon nitride (SiNx) is a critical material for semiconductor devices, increasingly used in high-performance logic and memory. Modern, scaled devices require robust SiN films deposited at low temperature (<400 °C) for use as gate sidewall spacers and in self-aligned quadruple patterning.1 Traditional SiNx deposition techniques, including chemical vapor deposition (CVD) and plasma-enhanced chemical vapor deposition (PECVD), are now giving way to atomic layer deposition (ALD). ALD allows more control over the thickness of deposition, work at relatively low temperatures, and conforms over high-aspect ratio structures.2 ALD can be divided into two classes, thermal ALD and plasma-enhanced ALD (PEALD). Both methods have some advantages for SiNx deposition. Thermal ALD allows for conformal deposition over high aspect ratio (HAR) structures (>5000:1), while PEALD can be used at much lower temperatures with lower HAR conformality. Advances in precursor chemistry and nitrogen sources have enabled the tailoring of material properties like the wet etch rate and growth rate to meet research and industry requirements. There are currently three main silicon precursor classes: chlorosilanes, organosilanes, and heterosilanes. Chlorosilanes are silicon precursors where Si-Cl bonding is predominant. Organosilanes are silicon precursors containing organic ligands, although currently this class is limited to aminosilanes in practice. The last group, heterosilanes, includes all other precursors.

Chlorosilanes

Chlorosilanes are an historically important class of silicon precursors that helped to build the semiconductor industry by enabling the production of ultra-high purity silicon. This class includes any silicon precursor containing at least one chlorine-silicon bond. The first SiNx was grown by thermal ALD in 1997, when Morishita3 deposited SiNx using hexachlorodisilane (HCDS, Si2Cl6 , Cat. No. 205184) and hydrazine (N2H4, Cat. No. 215155) at temperatures ranging from 525–650 °C. While hydrazine has since been replaced by more convenient nitrogen sources, HCDS has remained an important precursor for SiNx ALD. Later reports4 of ALD using HCDS and ammonia demonstrate successful deposition of SiNx at temperatures as low as 515–557 °C. In addition, tetrachlorosilane,5 dichlorosilane (DCS),6 and octachlorotrisilane7 have all been used successfully. Since the deposition temperature is fairly high, the physical properties such as density and wet etch rate (WER) in hydrofluoric acid are good. Growth per cycle (GPC) varies, but is typically greater than 1 Å/cycle. A disadvantage of chlorosilanes for thermal ALD of SiNx is the large precursor exposure (107–1010 L) required to achieve saturation. Of note, only chlorosilane precursors have been used for thermal ALD of SiNx, since they are the only precursors stable enough for use above 400 °C, the temperature at which ammonia is activated. With the exception of hydrazine, which will be discussed in a later section, no other nitrogen sources are available for thermal ALD. This limitation has prevented the widespread industry adoption of thermal ALD for SiNx growth.

In order to grow films at low (<400 °C) temperatures, research has focused on the use of plasma to aid deposition.8 Microwave plasma, inductively coupled plasma (ICP), and capacitively coupled plasma (CCP) are most commonly used in combination with sources of reactive nitrogen including ammonia, nitrogen, or nitrogen forming gas (N2-H2). DCS9 and HCDS10 have been used extensively with ammonia in PEALD of SiNx at temperatures from 300–400 °C. Temperatures less than 300 °C can lead to excess chlorine contamination due to the formation of NH4Cl. Ovanesyan et al.10 reported conformal deposition of SiNx on HAR structures using HCDS and NH3 plasma at 400 °C, with hydrogen in the form of -NH and chlorine (<1%) as the primary impurities. Conformal deposition when using NH3 plasma is a strong advantage of chlorosilane precursors. Unfortunately, the WER for SiNx deposited with chlorosilane precursors is reported to be high, and film density is low due to hydrogen incorporation. Recently, a new chlorosilane precursor, pentachlorodisilane (PCDS) has been reported11 that while similar to HCDS, results in a 20% higher GPC (0.78 vs. 1.02 Å/cycle) with similar or better physical properties. Substituting a chlorine atom with a hydrogen appears to lower the steric hindrance of the PCDS molecule and increase its polarity, leading to a precursor with higher reactivity. In addition, a precursor exposure of only 4 × 104 L, or 4–5 orders of magnitude lower than the exposure for thermal ALD processes and 1–2 orders lower than that of other PEALD provides results with a similar GPC. The unique hollow cathode plasma source used for films grown with both HCDS and PCDS results in exceptionally low oxygen contamination in these films.

Organosilanes

The first organosilane used for SiNx ALD was tris(dimethylamino) silane (TDMAS, Cat. Nos. 570133, 759562) in 2008.12 Using a remote ICP nitrogen-forming gas plasma to successfully deposit SiNx, though with carbon impurities of 5–10%. Provine et al.13 improved on these results and were able to grow SiNx with high film density (2.4 g/cm3) and low WER (3 nm/min in 100:1 HF) at a temperature of 350 °C. Performing a hydrogen plasma post-anneal reduced WER to less than 1 nm/min.

Bis(tert-butylamino)silane (BTBAS) is another aminosilane frequently used for SiNx deposition. Knoops et al. deposited high quality SiNx with BTBAS and N2 plasma.14 Film density was very high at 2.8 g/cm3, and film wet etch rate was 0.2 nm/ min for growth at 400 °C. Carbon contamination was less than 2%, but that increased to approximately 10% for films grown at 200 °C. Film properties were similar to those obtained from low-pressure chemical vapor deposition (LPCVD) grown SiNx, which is attributed to the high film density of the film.

All organosilane precursors using nitrogen plasma, causes the GPC to drop to nearly zero. When NH3 plasma is used, -NH2 is a common surface termination. Huang et al.15 predicted a high activation energy barrier for BTBAS and other amine groups, and this prediction was experimentally confirmed by Provine for TDMAS and NH3 plasma growth. Density functional theory simulations predicted that BTBAS would only adsorb at undercoordinated nitrogen or silicon sites, which NH3 plasma cannot provide. Another issue with most organosilanes is the low GPC — typically less than 0.3 Å/cycle. Organosilanes are large molecules that provide only a single silicon atom, so steric hindrance likely plays a role, though the requirement for undercoordinated surface sites probably hinders growth as well. Finally, conformality over HAR structures is limited for organosilanes. Faraz et al. found at best 50% conformality for sidewalls (26 vs 13 nm) when using di(sec-butylamino)silane (DSBAS) and N2 plasma.1 These results are common, and are likely due to the soft saturation observed for N2 plasma exposure. Typically sidewalls are exposed to lower plasma density during the deposition process compared to the top and bottom of the structure.

Heterosilanes

The last group of precursors encompasses all non-organic and non-halide precursors. Silica is simplest of the precursors and has already been discussed. Well-known for use its use in PECVD, SiNx can be deposited using SiH4 and N2 plasma.16 The disadvantage of this approach is the long (60 s) N2 plasma time required for saturation. Likewise, S-H contamination is problematic and likely results in low film density and high WER. Trisilylamine (TSA) is another Si precursor that has been investigated for SiNx deposition. Triyoso et al.17 demonstrated the growth of SiNx with TSA and N2/H2 plasma at 300 and 400 °C. GPC depended greatly on plasma conditions, and varied from 1.3 to 2.1 Å/cycle and WER in 100:1 HF was approximately 1 nm/min. When comparing PEALD SiNx with PECVD SiNx, they found TSA-based PEALD improved transistor performance with higher drive current, higher hole mobility, and improved Ion/ Ioff ratio. Jang et al.18 deposited SiNx with TSA and NH3 plasma, though with a lower GPC (0.6 Å/cycle vs. ~1.5 Å/cycle). This has important implications for conformal deposition over HAR structures, since NH3 plasma offers improved step coverage. Finally, neopentasilane (NPS) and N2 plasma were used to grow SiNx between 250 and 300 °C.19 Film properties were found to be similar to that of TSA, though NPS has a slightly higher GPC (1.2 vs. 1.4 Å/cycle). The WER for SiNx grown with NPS was strongly plasma dependent, but optimized conditions resulted in a WER of between 2 and 3 nm/min. Both TSA and NPS are interesting precursors due to their high silicon percentage relative to molecular weight, and they offer higher GPC than organosilanes while maintaining a lower WER than typical chlorosilanes.

Summary and Outlook

The need for high quality, conformal SiNx films grown at low temperature is increasing, and both academia and industry are working to develop advanced processes and precursors. Currently available precursors offer a range of advantages and disadvantages. Chlorosilanes provide enhanced GPC and conformality over HAR structures, but lack wet etch resistance and film density. Organosilanes enable the growth of SiNx films with extremely low WER, comparable to or lower than those achieved with LPCVD, but are hindered by low GPC and poor conformality. The heterosilanes, which include trisilylamine and neopentasilane, offer both good GPC, which aids in throughput, and low WER. Further investigation is needed to see if these precursors can deliver conformality over HAR structures. While not a silicon precursor, it is worth discussing current work on nitrogen sources. Recent advances in hydrazine delivery technology have enabled the use of ultra-high purity hydrazine in thermal ALD. While toxicity is still a concern, the overall safety of the source has been improved.20 Thermal ALD of TaN and WN with hydrazine as the nitrogen source has been demonstrated. Likewise, low resistivity of TiN deposited at 275–350 °C has been reported. Few reports exist regarding the deposition of SiNx with hydrazine, but the growth of SiNx passivation layers using HCDS and hydrazine at 285 °C has been successful.21 Chlorine contamination is a problem; these issues can likely be associated with the extremely low deposition temperature since similar issues occur for PEALD below 300 °C. Current work using ultra-high purity hydrazine as a source for SiNx deposition is promising, and good SiNx properties have been obtained in depositions occurring between 350 and 400 °C, which should enable the development of low temperature thermal ALD of SiNx. Together, improvements in nitrogen sources and silicon precursors portend a bright future for atomic layer deposition of SiNx.

Loading

References

1.
Faraz T, van Drunen M, Knoops HCM, Mallikarjunan A, Buchanan I, Hausmann DM, Henri J, Kessels WMM. 2017. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies. ACS Appl. Mater. Interfaces. 9(2):1858-1869. https://doi.org/10.1021/acsami.6b12267
2.
Meng X, Byun Y, Kim H, Lee J, Lucero A, Cheng L, Kim J. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. Materials. 9(12):1007. https://doi.org/10.3390/ma9121007
3.
Morishita S, Sugahara S, Matsumura M. 1997. Atomic-layer chemical-vapor-deposition of silicon-nitride. Applied Surface Science. 112198-204. https://doi.org/10.1016/s0169-4332(96)01006-9
4.
Park K, Yun W, Choi B, Kim H, Lee W, Rha S, Park CO. 2009. Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to Si2Cl6 and NH3. Thin Solid Films. 517(14):3975-3978. https://doi.org/10.1016/j.tsf.2009.01.118
5.
Nakajima A, Yoshimoto T, Kidera T, Obata K, Yokoyama S, Sunami H, Hirose M. 2000. Atomic-layer-deposited silicon-nitride/SiO2 stacked gate dielectrics for highly reliable p-metal?oxide?semiconductor field-effect transistors. Appl. Phys. Lett.. 77(18):2855-2857. https://doi.org/10.1063/1.1320847
6.
Hansch W, Nakajima A, Yokoyama S. 1999. Characterization of silicon/oxide/nitride layers by x-ray photoelectron spectroscopy. Appl. Phys. Lett.. 75(11):1535-1537. https://doi.org/10.1063/1.124747
7.
Riedel S, Sundqvist J, Gumprecht T. 2015. Low temperature deposition of silicon nitride using Si3Cl8. Thin Solid Films. 577114-118. https://doi.org/10.1016/j.tsf.2015.01.045
8.
Profijt HB, Potts SE, van de Sanden MCM, Kessels WMM. 2011. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 29(5):050801. https://doi.org/10.1116/1.3609974
9.
Nagata K, Nagasaka M, Yamaguchi T, Ogura A, Oji H, Son J, Hirosawa I, Watanabe Y, Hirota Y. 2013. Evaluation of Stress Induced by Plasma Assisted ALD SiN Film. ECS Transactions. 53(3):51-56. https://doi.org/10.1149/05303.0051ecst
10.
Ovanesyan RA, Hausmann DM, Agarwal S. 2015. Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma. ACS Appl. Mater. Interfaces. 7(20):10806-10813. https://doi.org/10.1021/acsami.5b01531
11.
Meng X, Kim HS, Lucero AT, Hwang SM, Lee JS, Byun Y, Kim J, Hwang BK, Zhou X, Young J, et al. 2018. Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane. ACS Appl. Mater. Interfaces. 10(16):14116-14123. https://doi.org/10.1021/acsami.8b00723
12.
F Q, H C. 2008. Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD, Proceedings of the 8th International Conference on Atomic Layer Deposition Bruges, Belgium.
13.
Provine J, Schindler P, Kim Y, Walch SP, Kim HJ, Kim K, Prinz FB. 2016. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride. AIP Advances. 6(6):065012. https://doi.org/10.1063/1.4954238
14.
Knoops HCM, Braeken EMJ, de Peuter K, Potts SE, Haukka S, Pore V, Kessels WMM. 2015. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma. ACS Appl. Mater. Interfaces. 7(35):19857-19862. https://doi.org/10.1021/acsami.5b06833
15.
Huang L, Han B, Han B, Derecskei-Kovacs A, Xiao M, Lei X, O'Neill ML, Pearlstein RM, Chandra H, Cheng H. Density functional theory study on the full ALD process of silicon nitride thin film deposition via BDEAS or BTBAS and NH3. Phys. Chem. Chem. Phys.. 16(34):18501. https://doi.org/10.1039/c4cp02741h
16.
King SW. 2011. Plasma enhanced atomic layer deposition of SiNx:H and SiO2. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 29(4):041501. https://doi.org/10.1116/1.3584790
17.
Triyoso DH, Hempel K, Ohsiek S, Jaschke V, Shu J, Mutas S, Dittmar K, Schaeffer J, Utess D, Lenski M. 2013. Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration. ECS J. Solid State Sci. Technol.. 2(11):N222-N227. https://doi.org/10.1149/2.022311jss
18.
Jang W, Jeon H, Song H, Kim H, Park J, Kim H, Jeon H. 2015. The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer. Phys. Status Solidi A. 212(12):2785-2790. https://doi.org/10.1002/pssa.201532274
19.
Weeks S, Nowling G, Fuchigami N, Bowes M, Littau K. 2016. Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 34(1):01A140. https://doi.org/10.1116/1.4937993
20.
Alvarez D, Spiegelman J, Holmes R, Andachi K, Raynor M, Shimizu H. 2017. Ultra-High Purity Hydrazine Delivery for Low Temperature Metal Nitride ALD. ECS Trans.. 77(5):219-225. https://doi.org/10.1149/07705.0219ecst
21.
Edmonds M, Sardashti K, Wolf S, Chagarov E, Clemons M, Kent T, Park JH, Tang K, McIntyre PC, Yoshida N, et al. 2017. Low temperature thermal ALD of a SiNx interfacial diffusion barrier and interface passivation layer on SixGe1? x(001) and SixGe1? x(110). The Journal of Chemical Physics. 146(5):052820. https://doi.org/10.1063/1.4975081
Sign In To Continue

To continue reading please sign in or create an account.

Don't Have An Account?